aUCBLogo Demos and Tests / sumnumberstest3


to sumnumberstest3
   
;by Mike Sandy (adapted to aUCBLogo for speed and brevity by AM)
   
local [start t v]
   
make "start timemilli
   
make "v sum_num 10 1 1E7
   
make "t (timemilli-:start)
   
print (list "sum\ of\ digits\ = :v
   
"time\ = int :t/60000 "min
   
60 * (:t/60000-int :t/60000"sec )
end

to sum_num b n1 n2 [0]
   
for [i n1 n2]
   
[   s=s+tolist intform b
   
]
   
output s
end